Главная страница Случайная страница КАТЕГОРИИ: АвтомобилиАстрономияБиологияГеографияДом и садДругие языкиДругоеИнформатикаИсторияКультураЛитератураЛогикаМатематикаМедицинаМеталлургияМеханикаОбразованиеОхрана трудаПедагогикаПолитикаПравоПсихологияРелигияРиторикаСоциологияСпортСтроительствоТехнологияТуризмФизикаФилософияФинансыХимияЧерчениеЭкологияЭкономикаЭлектроника |
Работы шифратора на 4 входа.
Отчет к лабораторнай работе № 1. Исследование дешифратора. Цель работы: получение основных навыков проектирования схем в редакторе пакета Quartus. Изучение функционирования простейшего КЦУ.
Текст программы: library ieee; use ieee.std_logic_1164.all; entity dec is port( adr_i: in bit_vector(2 downto 0); data_out: out bit_vector(7 downto 0) ); end dec; architecture BBB of dec is begin p0: process (adr_i) begin case adr_i is when " 000" => data_out< =" 00000001"; when " 001" => data_out< =" 00000010"; when " 010" => data_out< =" 00000100"; when " 011" => data_out< =" 00001000"; when " 100" => data_out< =" 00010000"; when " 101" => data_out< =" 00100000"; when " 110" => data_out< =" 01000000"; when " 111" => data_out< =" 10000000"; end case; end process; end;
Диаграмма работы дешифратора:
Работы шифратора на 4 входа. Текст программы: library ieee;
Диаграмма работы шифратора:
|